BugHunter Pro and the VeriLogger Simulators

7.1 Dumping Simulation Waveforms

7.1 Dumping Simulation Waveforms

Previous topic Next topic  

7.1 Dumping Simulation Waveforms

Previous topic Next topic  

Verilog simulation waveforms are typically written to a VCD file (Verilog Change Dump). This standard Verilog output file format is very slow because it is an uncompressed text file. In large simulations with lots of data to dump, the VCD file write times can dominate over the simulation time it takes to calculate the event transitions. To reduce overall simulation time, use SynaptiCAD's compressed binary waveform file BTIM to dump the data instead of dumping to a VCD file. BTIM files are about 100 times smaller than a VCD and can greatly reduce simulation times. After the simulation is complete, you can use the BTIM file to generate a VCD file if you need to use the data in another tool.

Dumping using BugHunter's waveform windows

When you are displaying waveforms in the Stimulus and Results diagram, the waveforms automatically being dumped into a BTIM file and then streamed across to the waveform window. If this interface is slow, then one faster thing you can do is to dump directly to the BTIM file without displaying any data in the waveform window until you are done with the simulation.

Command Line dumping to a BTIM file (rather than a VCD)

The fastest way to dump simulation data is to send it directly to a file by inserting dump code into your source code. In Verilog, you have probably used the $dump system task to dump simulation information directly to a VCD file. With BugHunter Pro,  there are three additional system tasks that perform functions similar to standard $dump task but that dump to a compressed btim format instead of a VCD file. Dumping these files is much quicker than dumpting to a standard VCD file.

The first task, $btim_dumfile, creates a btim file so that it is ready to accept data (see Appendix A: btim_dumpfile). Next, add one or more $btim_AddDumpSignal task calls to register the signals with the open btim file (see Appendix A: btim_AddDumpSignal). During simulation, any event transitions on the registered signals will be written to the btim file. The dump file can be closed by calling the $btim_closedumpfile task at any time during simulation (see Appendix A: btim_closedumpfile).

 

$btim_dumpfile( filename )

$btim_AddDumpSignal(levels?, [signal | scope]+)

...

$btim_closedumpfile( )