SynaptiCAD Tutorials

(Sim) 1.1 Simulator Choices

(Sim) 1.1 Simulator Choices

Previous topic Next topic  

(Sim) 1.1 Simulator Choices

Previous topic Next topic  

SynpatiCAD offers two different Verilog simulators: VeriLogger Extreme (simx) and VeriLogger Pro (vlogcmd). VeriLogger Extreme is a high-performance compiled-code Verilog 2001 simulator that offers fast simulation of both RTL and gate-level simulations with SDF timing information. VeriLogger Pro is an interpreted Verilog-95 compliant simulator with a low memory footprint, but it does not support strengths.

VeriLogger Extreme is the faster simulator for large designs and simulating in debug run mode (the standard mode for simulators). However, since VeriLogger Pro is interpreted and does not need to compile the code, it is faster for smaller designs and for auto run mode where the user is graphically changing the test bench and kicking off automatic simulations.

When you purchase a simulator, you get SynaptiCAD's graphical debugger, BugHunter Pro, in addition to the command line version of the simulator. Instructions for running the command line versions are found in the BugHunter and VeriLogger Manual Chapter 5: Command Line Simulators. For this tutorial, run BugHunter so that you can experiment with the graphical debugging interface.

From the Start Menu, choose one of the VeriLogger simulators running under BugHunter.

start_menu

An alternative way to launch the simulators is using the command line:

VeriLogger Extreme: syncad -p bhp -s verilogger_extreme

VeriLogger Pro: syncad -p bhp -s vlogcmd

The -p bhp option says to run the BugHunter Pro product. The -s option sets the default simulator used for new BugHunter projects. Note that the simulator used by a project can be changed at any time from inside BugHunter by selecting the Project > Project Simulation Properties menu option and changing the the Simulator Type under the Verilog tab in the dialog that appears.