SynaptiCAD Tutorials

(TT) 9: PSL Property

(TT) 9: PSL Property

Previous topic Next topic  

(TT) 9: PSL Property

Previous topic Next topic  

until_SIG0 = ((BUS > 2) until SIG0)

For this signal we used a PSL property instead of an assertion, so the assertion body is surrounded by parenthesis instead of curly brackets. In the Signal Properties dialog, the equation edit box is TE Property.  The property checks each cycle to see if the value of BUS is greater than 2 until SIG0 becomes true. Note that for the matches attempted at time 500 and 700, SIG0 is true on the initial clock cycle of the match, so the transaction record succeeds immediately.

Until_SIGO