SynaptiCAD Tutorials

Simulation 2: Using WaveFormer with ModelSim VHDL

Simulation 2: Using WaveFormer with ModelSim VHDL

Previous topic Next topic  

Simulation 2: Using WaveFormer with ModelSim VHDL

Previous topic Next topic  

WaveFormer Pro can be used to create a VHDL stimulus file for a VHDL model that needs to be tested. Then the test bench and the model under test can be simulated using an external VHDL simulator. In this tutorial we show the commands to use ModelSim, but if you are using a different simulator this should give you the basic idea for controlling the simulation. Then we will use WaveFormer Pro to compare the simulation results against expected results drawn by the user.

Title_art

To perform this tutorial, you will need a license for WaveFormer Pro with the Comparison feature and a license for some version of ModelSim VHDL (XE, PE or SE).