SynaptiCAD Tutorials

Test Bench Generation 2: Reactive Test Bench Option

Test Bench Generation 2: Reactive Test Bench Option

Previous topic Next topic  

Test Bench Generation 2: Reactive Test Bench Option

Previous topic Next topic  

The Reactive Test Bench Generation Option can be added to WaveFormer Pro, DataSheet Pro, VeriLogger, and BugHunter Pro. The features are included in TestBencher Pro, so it is also a good introduction to creating a single timing transaction in TestBencher Pro. With Reactive Test Bench Generation, users can draw "expected" waveforms on the MUT output ports and add "samples" to the waveforms to test for specific state values. During simulation, the code generated by the samples would watch the output from the model under test and compare it to the drawn waveform states. Samples can perform a variety of functions such as pausing the simulation to debug a problem, reporting errors and warnings, user-defined actions, and triggering other samples. The generated test benches are normally used with external simulators, and with FPGA tools like Xilinix ISE, Altera Quartus, and Microsemi (Actel) Libero.

Reactive_flow