BugHunter Pro and the VeriLogger Simulators

12.5 SimSwapper Command Line Options

12.5 SimSwapper Command Line Options

Previous topic Next topic  

12.5 SimSwapper Command Line Options

Previous topic Next topic  

SimSwapper Command Line Options can be passed with either (1) the SYNCAD_SIMSWAPPER_ARGS environment variable and the translation scripts as described earlier in this Chapter, or (2) by running SimSwapper directly.

Options for either the environment variable or SimSwapper directly:

--help

Print a usage message

--debug

Print extra debugging messages

--config filename

Use the named configuration file, instead of the default simswapper.ini

--create-ini filename

Create an initialization file to use as a template

--version

Display SimSwapper version information

--log-file filename

Write details of the options translation to the named file

--dry_run

Don't run the destination simulator, just print out commands that would be executed.

Options for running SimSwapper directly:

When running SimSwapper directly you must specify the source and destination simulators using the --source and --dest options, so the program knows how to translate the options. These options should not  be used with the translation scripts as described in Section 12.1 SimSwapper Quick Start section, because the translation scripts automatically setup these options based on the path settings.

Put the source and destination options before any simulation options to be translated:

--source <source_tool--dest <dest_suite> -- [args to translate ...]

Where source_tool can be chosen from:

   mti-vlog -- ModelSim's vlog.exe compiler

   mti-vsim -- ModelSim's vsim.exe elaborator and simulator

   syncad-simx32 -- SynaptiCAD's simx32.exe compiler and elaborator

   syncad-simxloader32 -- SynaptiCAD's simxloader32.exe simulator

   syncad-simx64 -- SynaptiCAD's simx64.exe compiler and elaborator

   syncad-simxloader64 -- SynaptiCAD's simxloader64.exe simulator

   cadence-ncvlog -- Cadence ncvlog.exe compiler

   cadence-ncelab -- Cadence ncelab.exe elaborator

   cadence-ncsim -- Cadence ncsim.exe simulator

   cadence-ncverilog -- Cadence ncverilog.exe simulator

   cadence-irun -- Cadence irun.exe simulator

   synopsys-vcs -- Synopsys vcs.exe compiler and elaborator

   synopsys-vcsi -- Synopsys vcsi.exe compiler and elaborator

   synopsys-simv -- Synopsys simv.exe simulator (generated by VCS/VCSI)

And dest_suite can be chosen from:

   mti-modelsim -- ModelTech's ModelSim simulator

   syncad-simx32 -- SynaptiCAD's 32-bit Verilogger Extreme simulator

   syncad-simx64 -- SynaptiCAD's 64-bit Verilogger Extreme simulator

   cadence-ncsim -- Cadence's Incisive simulator

   cadence-ncverilog -- Cadence's NC-Verilog simulator

   cadence-irun -- Cadence's irun simulator

   synopsys-vcs -- Synopsys' VCS simulator

   synopsys-vcsi -- Synopsys' VCSi simulator