SYNAPTICADcolon VERILOGGER

SimSwapper: Simulator Swapper and Command Line Options Translator

Simulator Swapper and Command Line Options Translator

SimSwapper is a handy new EDA tool that allows engineers to re-use simulation regression scripts with simulators from multiple EDA vendors. For example, if you receive a set of scripts from your IP vendor to compile their IP with Mentor Graphic's ModelSim simulator and your design group uses Cadence's Incisive simulator, SimSwapper enables you to automatically re-target the Modelsim simulation scripts to compile and simulate using Incisive instead.

How It Works

To use SimSwapper, just add the path to an appropriate simswapper translation script on your computer. For example, to re-target your simulation scripts to use Cadence ncsim (nc) instead of Mentor Graphics vsim (mti), change your path as follows:

PATH=c:\synapticad\bin\simswapper\from_mti\to_nc;%PATH%

Now whenever you run a simulation regression script that invokes ModelSim simulation tools such as vlog or vsim, the appropriate Cadence tool will be invoked instead, with simulation command-line options automatically translated from Modelsim options to Ncsim options.

Supported Simulators

SimSwapper currently supports translating simulation options to and from the following simulators:

  • Cadence's Incisive simulators
  • Mentor Graphic's ModelSim
  • SynaptiCAD's Simulator Extreme
  • Synopsys' VCS